工作生活

dpi-c的一个例子

2019-06-29  本文已影响0人  Poisson_Lee

c程序文件名float_function.c

#include <stdio.h>
#include <stdlib.h>
#include <math.h>
#include <float.h>
#include "svdpi.h"

#ifndef UFLOAT_H
#define UFLOAT_H
union UFLOAT {
  float f;
  int    u;
}
#endif

double powtwo(double in) {
  return pow(2.0, in);
}

int intpowtwo(int in) {
  union UFLOAT u_in;
  union UFLOAT u_out;
  u_in.u = in;
  u_out.f = pow(2.0, u_in.f);
  return u_out.u;
}

sv程序文件名dpi_test.sv

program dpi_test();
  import "DPI-C" function real powtwo(intput real in);
  import "DPI-C" function int intpowtwo(input int in);

initial begin
  bit[31:0]  result_bin;
  real      result_float;
  bit[31:0]  exp_bin[$];
  real        exp_float[$];
  
  exp_bin.push_back(32'h3f80_0000);
  exp_bin.push_back(32'h3f00_0000);
  exp_bin.push_back(32'h4000_0000);
  exp_bin.push_back(32'hbf80_0000);
  exp_bin.push_back(32'hbf00_0000);
  exp_bin.push_back(32'hc000_0000);

  exp_float.push_back(1.0);
  exp_float.push_back(0.5);
  exp_float.push_back(2.0);
  exp_float.push_back(-1.0);
  exp_float.push_back(-0.5);
  exp_float.push_back(-2.0);

foreach(exp_bin[i]) begin
  result_float = powtwo(exp_float[i]);
  $display("2^was  %f is %f", exp_float[i], result_float);
  result_bin = intpowtwo(exp_bin[i]);
  $display("2^was  %h is %f", exp_bin[i], result_bin);
  $display("====================");
end

end
endprogram

vcs执行命令
vcs -full64 -sverilog -R float_function.c dpi_test.sv

说明一下这个例子。
sv的rm中有时需要调用C的程序,但是sv和c的数据类型不是完全一一对应的。
sv中用到最多的数据类型是bit[31:0],bit[15:0]等bit向量型数据,但C中没有对应的数据类型。
现在在sv要实现一个功能,是2^x,其中x是浮点数,返回值也是浮点数,但是在sv里的浮点数是IEEE754里的数据格式,不是0.5,而是32‘h3f00_0000(以单精度为例),即验证环境里的数据是bit[31:0]类型数据根据IEEE754来表示浮点数。
现在打算用c中的库函数pow,但是这个函数的输入输出类型是double,比如输入0.5,输出1.414214,如果直接将sv中的32‘h3f00_0000入C的pow程序,显然pow会把这个数当成很大的一个数。
如何实现转换呢?这里我通过采用C中的联合类型的数据结构,因为sv中德数据已经是32bit的数据形式了,在C中,int型数据是32bit的,所以我在C程序中实现一个intpowtwo的函数,输入输出都是int型,即32bit的数据,在测试程序中,在这个函数里的声明两个UFLOAT型变量,分别处理输入和输出数据的格式转换。从而得到了我想要的结果。

Screenshot 2019-06-29 at 3.37.43 PM.png
上一篇下一篇

猜你喜欢

热点阅读